New-Tech Europe Magazine | Q2 2023

Challenges and innovations in patterning

IMEC

In this interview, Steven Scheer, senior vice president of Advanced Patterning, Process and Materials at imec, highlights challenges and innovations that will mark the field of patterning in the short and longer term. He explains how these are driven by the advent of High NA extreme ultraviolet lithography (EUVL), the rise of new memory and logic device concepts, and the need to reduce the environmental impact of IC manufacturing. How do you see the field of lithographic patterning evolving over the next two years? Steven Scheer: “Extreme ultraviolet lithography (EUVL) entered high volume production in 2019 for advanced logic foundries; and dynamic random-access memory (DRAM) companies are increasingly

What do you see as the key priorities in the introduction of High NA? “Obviously, the first priority is the availability of the High NA tool. ASML and Zeiss are making phenomenal progress to integrate all modules and optical components. And although a lot of the groundbreaking solutions on process related aspects have been developed for the introduction of low NA EUV, further evolutions will be needed to effectively introduce High NA EUV. In addition to the High NA tool, EUV photoresist development remains one of imec’s first priorities with our ecosystem partners. The advent of High NA EUVL will further increase resolution and reduce feature sizes, at a reduced depth-of-focus. This of course leads to film thickness downscaling, which requires implementation of new resists and underlayers to optimize EUV absorption and pattern transfer during etch.

interested in adopting EUVL as well. This could only happen thanks to the phenomenal dedication and commitment of ASML, who pushed the limits of technology far beyond what many people believed possible. The next evolution is the introduction of High NA (0.55NA) EUVL to enable half-pitch imaging down to 8nm. The next evolution is the introduction of High NA (0.55NA) EUVL to enable half-pitch imaging down to 8nm. To support the introduction of High NA EUVL, imec and ASML are setting up a High NA EUV Lab to serve the early development needs of the High NA chip manufacturers. At the same time, we are working with the broader patterning equipment and materials supplier ecosystem to enable access to the High NA Lab and prepare EUV resist material, underlayer, dry etch, photomask, resolution enhancement techniques (RET) and metrology technologies.”

16 l New-Tech Magazine Europe

Made with FlippingBook Ebook Creator