New-Tech Europe Magazine | Q2 2023

EUV photoresist development remains one of imec’s first priorities with our ecosystem partners. Additionally, we need to drive continuous improvement in stochastic roughness and, in the extreme case, even failures in EUV patterned resists – a phenomenon we discovered a few years ago. Historically, the photoresist patterning performance is expressed through the resolution, line-edge roughness (LER) or local-CD-uniformity (LCDU), and sensitivity – otherwise known as the RLS parameters. Today, given the importance attributed to stochastics, patterning performance is assessed already at the early-stage development with a fourth parameter (failure) which reflects the process window dimension limited by the stochastics. We believe the solutions exist to mitigate the stochastics failures induced by the photoresist systems and enlarge the process window, while lowering the dose, and intend to work with our partners to demonstrate these new technologies in the High NA Lab. The reduction of both feature size and resist thickness will impact the metrology as well. Beside printing performances, the drastic dimension shrink has the potential to negatively impact accuracy and precision and therefore metrology and inspection performances.” How is imec contributing to overcoming the challenges related to EUV photoresists? “To address the concerns coming from the chemical stochastics (i.e., stochastics other than shot noise) induced by conventional multi-component blended photoresist systems, novel materials are being developed. Examples are metal containing resists or single component resists. Imec continues to enable material suppliers to develop new concepts and assess critical issues such as contamination risks and process integration challenges. Novel High NA EUV resist systems

Figure 1: 24nm pitch lines and spaces: patterning development for metal oxide resist (MOR) and chemically amplified resist (CAR). MOR is showing lower dose, better LER and defectivity, despite the low thickness. Credit: IMEC

cannot be developed in isolated silos: co-optimization with engineered underlayers, novel hard-masks and highly selective etching processes is required to get the best performance. To address this challenge imec has recently developed a new toolbox to match the resist and underlayers properties. By doing material screening, surface energy matching studies, material physical characterization and interface engineering, spin-on or deposited underlayer films can be developed together with the photoresist to enable EUV patterning scaling with optimized LER, sensitivity, and defectivity. We have set up a patterning materials

characterization infrastructure we call the Attolab as a toolbox to get further insights into the behavior of both the resists and underlayers under EUV exposure. Furthermore, to accelerate materials development, we have set up a patterning materials characterization infrastructure we call the Attolab as a toolbox to get further insights into the behavior of both the resists and underlayers under EUV exposure. Today the absorption coefficient and layer-resolved structural properties of thin films and stacks can be studied with radiometry and reflectometry, techniques made available for partners in the Attolab.”

18 l New-Tech Magazine Europe

Made with FlippingBook Ebook Creator