New-Tech Europe Magazine | Sep 2019 | Digital Edition

steps (to create spacer-like features). As a result, each initial line of the pre- pattern ends up in four lines with a four times denser pitch. From EUVL single-patterning to EUVL multi-patterning Meanwhile, it has become clear that EUVL single-patterning – and hence the advantages that it brings along – reaches its limits at 32nm to 30nm pitches. Stefan Decoster: “Beyond 30nm pitches, the use of current EUVL technologies (i.e., with 0.33 numerical aperture (NA)) will need to be complemented with multi- patterning techniques, which allow a further shrink of dimensions. These techniques in general involve splitting a chip pattern into two or more simpler masks and can exist in different flavors. Multi-patterning EUVL will be introduced somewhat sooner than originally thought – mainly due to the presence of stochastic failures.” These failures start to become more relevant at extremely small feature sizes and limit the practical resolution of single- exposure EUVL. Multi-patterning options for the imec N5 technology node In practice, this means that the most critical metal layers of the imec N5 (or foundry N3) technology nodes (with pitches as tight as 21nm) require EUVL multi-patterning techniques, such as self-aligned double patterning (SADP) or litho-etch litho-etch (involving two EUVL steps). Alternatively, imec showed that lines and trenches at these dimensions can still be achieved with 193nm immersion-based SAQP, or, alternatively, with immersion- based self-aligned octupole patterning (SAOP). Each of these techniques comes with its own set of advantages and disadvantages, in terms of cost- of-ownership, litho performance or complexity of the process flow. “However, this is certainly not the

Figure 1: Illustration of a 32nm pitch M2 layer patterned with 193nm immersion- based SAQP in combination with a direct EUV print of the block layer.

193nm immersion lithography can still do the job... At these aggressive pitches, 193nm immersion lithography can only be used in combination with SAOP – which involves three times a patterning doubling approach, starting from128nm pitches. Stefan Decoster: “The good thing about immersion-based SAOP is the small line-edge roughness. But an intrinsic drawback is the extremely long and complex process flow, which creates challenges for process control and cost.” ... but shorter flows canbeobtained with EUVL multi-patterning “For this reason, we also explored ‘shorter’ EUVL-based multi-patterning flows, starting with EUV-based SADP”, adds Stefan Decoster. “To enable this double-patterning approach, the starting pitch at EUV lithography must be 32nm. Although current EUVL technology is still capable of printing 32nm pitch lines (which refers to the distance between the lines), the resulting line width can however not be printed smaller than 16nm. Therefore, we had to apply additional trimming techniques to realize the target 8nm lines at 32nm pitch. With the SADP technique, this pitch could successfully be reduced to 16nm.” 16nm pitch lines and trenches were also successfully printed with the more scalable EUVL SAQP approach – starting with an

end of EUVL single patterning,” clarifies Stefan Decoster. “We expect that the more relaxed metal layers (such as M4 to M7 layers) and the critical vias can still take advantage of EUVL single exposure for the following technology nodes (beyond imec N7 (foundry N5)). Also, imec and ASML are working on the next-generation of high-NA EUVL systems (with NA = 0.55), to further push the resolution for single exposures.” Beyond imec N5: patterning options for 16nm pitch lines, trenches and blocks Imec researchers have explored four different multi-patterning options for printing lines and blocks at pitches below 20nm: 193nm immersion based SAOP, EUV- based SADP, EUV-based SAQP, and self-aligned litho-etch litho-etch (SALELE). Stefan Decoster: “All four candidates have the potential of printing 16nm pitch lines. They differ however in terms of process complexity, cost-of-ownership, scalability and freedom in design - which are important considerations for the industry. We also found that line-edge roughness remains a major concern for the majority of the options.”

New-Tech Magazine Europe l 23

Made with FlippingBook flipbook maker