New-Tech Europe Magazine | Q2 2023

New-Tech Europe Magazine | Q2 2023

Q2 2023

16 Challenges and innovations in patterning 22 Delivering higher power density and low noise for New Space applications 26 Surprise! Weaker bonds can make polymers stronger 28 Electric transport solutions should be applied more boldly in Finland, says VTT's new Research Professor Mikko Pihlatie

2024

2024

EXPO Tel Aviv | 28-29.5.2024

SAVE THE DATE 28-29.05.2024

For further details: www.new-techevents.com www.new-techevents.com Pavilion 1, EXPO Tel Aviv | 28-29.5.2024

Widest selection of electronic components ™ In stock and ready to ship

mouser.co.il

HIGH-PERFORMANCE INTERCONNECT SOLUTIONS

High-Speed Board-to-Board • High-Speed Cable • Precision RF • Optics • Rugged / Power Systems

Samtec Israel 21 Bar-Kochva St. • Concord Tower • B’nei Brak, Israel 51260

Tel: +972 3 7526600 • Fax: +972 3 7526690 www.samtec.com • e-mail: israel@samtec.com

The ultimate choice for future-oriented digitization projects requiring highest bandwith and performance COM HPC - THE GAME CHANGER

www.congatec.com/com-hpc

High Reliability Interconnection Powell Electronics in Europe Connectors, cables, value-added assembly

Quell Glenair Harwin Amphenol Aerospace AB Connectors Lemo Conesys and more…

WHAT IF WE COULD CREATE MORE BY WASTING LESS? By 2050, global energy demand is projected to rise by over 60%. ADI’s expertise in power management has enabled breakthroughs like energy harvesting and robotic miniaturization. Which means we can make progress, while making less waste.

Analog Devices. Where what if becomes what is. See What If: analog.com/WhatIf

Q2 2023

About New-Tech Magazines Group Read To Lead ‘New-Tech Magazines’ A world leader in publishing high-tech and electronics, producing top quality publications read by tens of thousands professionals from all over the world especially from Europe, innovative electronics, IoT, microwave, homeland security, aerospace, automotive and technological industries. Our specialized target audiences prefer New-Tech Europe because they know that our publications are a reliable source of the latest information in their respective fields. Our multidimensional editorials, news items, interviews and feature articles provide them with a full, well-rounded picture of the markets in which they operate - an essential asset for every technological leader striving to stay ahead, make the right decisions, and generate the next global innovation. Moreover, as an attractive platform for advertisers from around the world, New-Tech Europe has become a hub for bustling international commercial activity. Here, through ads and other promotional materials, Israeli readers obtain crucial information about developers and manufacturers worldwide, finding the tools, instruments, systems and components they need to facilitate their innovative endeavors. Targeting the needs of both the global and european industries and global advertisers, New-Tech Magazines Group constantly expands and upgrades its services. Over the years, the company has been able to formulate a remarkably effective, multi-medium mix of offerings, combining magazine publications with useful online activities, newsletters and special events and exhibitions.

Editor: Tomer Gur-Arie

COO & CFO: Liat Gur-Arie

Journalist:

Amir Bar-Shalom

Technical journalist:

Arik Weinstein

Graphic Design: Hadas Vidmayer

Concept design: Maya Cohen

mayaco@gmail.com

Technical counselor:

Arik Weinstein

Sales and advertising:

sales@new-techmagazine.com

Account Manager: Sivan Bekerman

Project Manager/Events: Shiri Abdi

Project Manager/Events: Yaara shahaf

Data system: Liat Tsarfati

Editorial coordinator: Danit Segev

Operation Manager: Sivan Bekerman

Mail: Office:

info@new-techmagazine.com

Publisher :

NEW-TECH MAGAZINE GROUP LTD

www.new-techeurope.com

8 l New-Tech Magazine Europe

Contents

10 LATEST NEWS 16 Challenges and innovations in patterning 22 Delivering higher power density and low noise for New Space applications 26 Surprise! Weaker bonds can make polymers stronger 28 Electric transport solutions should be applied more boldly in Finland, says VTT's new Research Professor Mikko Pihlatie 30 "Pauli’s Electron in Ehrenfest and Bohm Theories, a Comparative Study" 38 The Power of Simplicity: Meet the Innovators Who Brought SmartThings Station to Life 40 Researchers unveil first Chat-GPT-designed robot

16

22

42 OUT OF THE BOX 44 NEW PRODUCTS 52 INDEX

26

28

www.new-techeurope.com

New-Tech Magazine Europe l 9

Latest News

AMD Announces Plan to Invest $135 Million to Expand Adaptive Computing Research, Development and Engineering Operations in Ireland

AMD AMD) announced plans for continued growth in Ireland through an investment of up to $135 million over four years. The investment is intended to fund several strategic R&D projects through the addition of up to 290 highly skilled engineering and research positions, as well as a broad range of additional support roles. (NASDAQ:

committed to continuing to both drive innovation in Ireland and to support the European semiconductor ecosystem. Through this investment, our R&D teams in Ireland will design innovative high-performance and adaptive computing engines to accelerate

data centre, networking, 6G communications and embedded solutions while taking a leadership

Credit: AMD

The development is being formally announced in Dublin today by Minister for Enterprise, Trade and Employment, Simon Coveney TD, and Ruth Cotter, senior vice president, Marketing, Communications and Human Resources at AMD. The new investment is supported by the Irish government through IDA Ireland. “I warmly welcome the ambitious plans of AMD to expand their advanced R&D and engineering operations in Ireland. This significant investment will not only bolster our thriving technology sector but also create long-term career opportunities for both highly experienced professionals and new graduates from engineering disciplines. The company’s plans to add up to 290 new positions and its funding of strategically important R&D projects demonstrate its confidence in Ireland’s supportive enterprise environment and infrastructure. The Irish government, through IDA Ireland, is delighted to support this expansion, further solidifying our commitment to nurturing a vibrant ecosystem for research, development, and engineering,” said Simon Coveney TD, Minister for Enterprise, Trade and Employment. “From the cloud and PCs to communications and intelligent end points, AMD’s high-performance and adaptive computing solutions play an increasingly larger role in shaping the future of computing today. For nearly three decades, Ireland has been a flagship European R&D centre developing adaptive computing solutions, drawing from a strong and highly-skilled workforce. By further investing and expanding our presence in Dublin and Cork, we are

position on artificial intelligence,” said Ruth Cotter, senior vice president, Marketing, Communications and Human Resources at AMD. Prior to the acquisition of Xilinx by AMD in 2022, Xilinx partnered with IDA Ireland on a number of occasions to advance semiconductor innovation in Ireland. Most recently, in 2017, Xilinx announced a $40 million investment to expand its research, development and engineering operations, as well as recruit over 100 new skilled employees. “This investment will continue to push the boundaries of what is possible while contributing further to Ireland’s position as a global technology leader. This expansion further strengthens the company’s presence in Ireland as a leading centre of semiconductor innovation and puts Ireland at the heart of AMD’s European research and engineering operations. IDA Ireland has been proud to support AMD and previously Xilinx for nearly three decades and is committed to supporting investments of scale that impact positively on Europe’s semiconductor industry,” said Michael Lohan, chief executive officer, IDA Ireland. The Irish site was first established in 1994 as the first purpose-built Xilinx site outside of the U.S. and began its operations a year later with a focus on manufacturing, operations support, engineering and administration services. Since the acquisition of Xilinx, Ireland is now home to one of the largest AMD R&D sites in Europe with a strong record of delivering products with significant commercial success, such as the AMD Zynq™ UltraScale+™ RFSoC semiconductor product family.

10 l New-Tech Magazine Europe

Latest News

Airbus and STMicroelectronics collaborate on power electronics for aircraft electrification

Airbus, a global pioneer in the aerospace industry, and STMicroelectronics (NYSE:STM), a global

“This with STMicroelectronics, a global leader in power semiconductors and wide bandgap technologies, will be key to support Airbus’ electrification roadmap,” said Sabine Klauke, Airbus Chief Technical Officer. “Leveraging their expertise and experience in power electronics for automotive and industrial applications with our own record in aircraft and VTOL collaboration

semiconductor leader serving customers across the spectrum of electronics applications, have signed an agreement to cooperate on power electronics Research & Development to support more efficient and lighter power electronics, essential for future hybrid-powered aircraft and full-electric urban air vehicles.

credit: Airbus

electrification will help us accelerate the development of the disruptive technologies required for the ZEROe roadmap and CityAirbus NextGen.” “STMicroelectronics is the market leader at the cutting edge of the development of innovative power semiconductors with higher-efficiency products and solutions based on advanced materials, such as Silicon Carbide and Gallium Nitride. We already have a strong, transformational presence in mobility and industrial applications, reinforced by a vertically integrated global SiC supply chain, to support our customers globally with electrification and decarbonisation,” said Jerome Roux, President, Sales & Marketing, STMicroelectronics. “Aerospace is a highly demanding market with specific requirements. Cooperating with Airbus, a global leader in this industry, gives us the opportunity to define together new power technologies the industry needs to realise its decarbonisation goals.”

The collaboration builds on evaluations already conducted by both companies to explore the benefits of wide bandgap semiconductor materials for aircraft electrification. Wide bandgap semiconductors like Silicon Carbide (SiC) and Gallium Nitride (GaN) have superior electrical properties compared with traditional semiconductors like silicon. They enable the development of smaller, lighter and more efficient high-performance electronic devices and systems, particularly in applications requiring high power, high frequency, or high temperature operations. The co-operation will focus on developing SiC and GaN devices, packages, and modules adapted for Airbus’ aerospace applications. The companies will assess these components by conducting advanced research and tests on demonstrators, such as e-motor control units, high and low voltage power converters, and wireless power transfer systems.

Percepto Raises $67M Series C, Receives FAA Waiver, Ushering in New Era of Autonomous Drone Inspections at Industrial Sites

The company’s FAA nationwide regulation waiver removes operational and cost barriers, enabling mass autonomous drone deployments across the U.S. Percepto, the leading autonomous inspection and monitoring solution provider, announced a combined $67M Series C in equity and debt funding, led by Koch Disruptive Technologies (KDT), alongside new investors Zimmer Partners and one of the largest U.S. energy companies. The round includes participation from

existing investors U.S. Venture Partners, Delek US Holdings, Atento Capital, Spider Capital and Arkin Holdings, bringing the total investment in the company to more than $120 million, reflecting Percepto’s strong performance and confidence in its robust offering. Percepto recently received an unprecedented, nationwide Beyond Line of Sight (BVLOS) waiver from the FAA, allowing the company to provide any US critical infrastructure site

New-Tech Magazine Europe l 11

Latest News with remotely-operated automated drones

efficient and sustainable.” Percepto Autonomous Inspection & Monitoring (AIM), the company’s end-to-end visual inspection solution powered by autonomous drones, robots and AI, enables critical infrastructure sites to increase the frequency and quality of inspections via automation. The solution automates the entire visual inspection workflow, from data collection to AI-powered analysis

without the need for site specific approvals from the FAA. A game changer for the industry, this waiver removes logistical and cost barriers, such as the need for radars or people on the ground, fueling the adoption of autonomous drone technology. “KDT’s reinvestment underlines their confidence in our ability to make companies more

Credit: Percepto

profitable by keeping infrastructure operational in the face of the unpredictable,” said Percepto Co-founder and CEO Dor Abuhasira. “Organizations are increasingly discovering the benefits of autonomous and remote drone operations to automate facility inspections and data analysis across their sites. With this new round of funding, new strategic investors, and the new regulations that significantly increase the access to using autonomous drones, the conditions are ripe for the autonomous drone market to expand, and for Percepto to meet the growing demand for automated drone inspections and monitoring at industrial sites.” Heavy industry confronts major challenges to operate efficiently, maintain reliable critical infrastructure, meet high productivity expectations, and increase safety levels – all in the face of complex, hazardous and often aging infrastructure assets. Percepto helps industrial companies save seven-figure amounts and meet these challenges by allowing real-time visibility into their facility’s infrastructure integrity, and finding failures before they escalate into incidents. In one instance, an oil & gas customer using Percepto’s Air Max OGI drone detected within hours a methane gas leak that could have gone unnoticed for months using conventional methods, saving the company millions of dollars, minimizing safety risks to workers, and preventing environmental damage. “Percepto is a powerful force in boosting the accessibility of automated drones for industrial inspection and monitoring,” said Chase Koch, Founder and CEO of Koch Disruptive Technologies. “Having deployed Percepto’s technology across various Koch companies, we are experiencing first-hand how its innovative R&D improves inspection and monitoring. Their work to create a clear regulatory path for this technology puts it in a strong market position, firmly stationed at the forefront of the industry. We look forward to continuing our journey with Percepto as it sets the new standard for making industrial operations safe,

and insights. With Percepto AIM, problems such as gas leaks, overheating and infrastructure deterioration are detected faster so companies, such as Siemens Energy, can take preventative measures to make sites more productive while minimizing the risk of environmental and safety incidents to meet their environmental, social and corporate governance (ESG) goals. “Percepto’s unique AI technology provides heavy industry with a path to maximize their efficiency and ensure smooth operations both in terms of providing a safe environment for workers and generating high productivity levels,” said Siemens Energy Venture Partner Illai Gescheit. “We are impressed with Percepto’s growth within a turbulent market, and look forward to working with them as they continue to pioneer drone regulations and innovations that will help deliver commercial value to our customers while fulfilling their environmental responsibilities.” About Percepto Percepto is the leading autonomous inspection and monitoring solution provider, revolutionizing how industrial sites remotely monitor and inspect their critical infrastructure and assets. Listed in TIME magazine’s 100 Best Inventions of 2021, Percepto’s AIM platform fully automates visual data workflows from capture to insight, leveraging the Percepto Air drone-in-a box portfolio, alongside other robots and visual sensors. Using advanced machine learning and AI, Percepto AIM provides an end-to-end autonomous inspection and monitoring solution, to assess risk, minimize downtime, drive efficiency, increase safety and reduce operational costs. Percepto’s solutions are trusted by Fortune 500 customers on six continents including Siemens Energy, Delek US, across Koch Industries companies and ICL Dead Sea Works. The company is the recipient of multiple prestigious awards including Edison Gold Award and Frost & Sullivan Global Enabling Technology Leadership Award.

12 l New-Tech Magazine Europe

Latest News

TE Connectivity partners with tacterion for smart connector and component solutions

TE Connectivity (TE), a world leader in connectivity and sensors, partners with tacterion, a leading tactile sensing company based in Munich, aiming to provide smart touch and force sensor technology supporting the digitization of industrial processes. In collaboration, TE and tacterion will develop connector and component solutions that combine both the reliable TE connectors and tacterion’s outstanding sensor technology. These new products will help enable remote digital monitoring of equipment, making them critical tools for predictive maintenance, condition monitoring and

machines and provide more reliable operation – which can be further enhanced by equipping them with tacterion’s sensor. The sensor monitors the condition of the connector and can report malfunctions such as deviations or an open connector to the higher level monitoring system. This will help customers reduce costs and minimize machine downtime through predictive maintenance. tacterion sensor products will additionally be made available through TE distribution partners and the TE website. “tacterion not only offers compelling

After signing the contract at tacterion, first concrete projects can be tackled: Daniel and Michael Strohmayr (Founders and CEOs of tacterion), Alex Megej (CTO TE Industrial) and Kerstin Gohl (VP & GM, TE Industrial Systems). credit: tacterion

and innovative sensor technology, but also has an agile and dedicated engineering team”, said Alex Megej, CTO of TE Industrial. “Together, we will develop smart connector solutions that help customers drive the digitalization of their facilities and bring the plyon® technology to applications across different industries.” “We are excited to work with TE and to show how powerful our sensors are, even in harsh production environments”, said Michael Strohmayr, CEO of tacterion. “New types of sensors are essential for a truly digitized Industry 4.0, and together with TE we can bring more intelligence – and cost savings potential – to the production floor”, adds his brother Daniel Strohmayr, CEO of tacterion. For more information about TE’s Intercontec connectors, please visit https://www.te.com/usa-en/products/brands/intercontec. html. More information about tacterion’s plyon® technology can be found at https://www.tacterion.com/technology.

safety applications in Industry 4.0 environments. The first product in this upcoming series of smart TE connector solutions is planned for a 2023 launch. tacterion holds patents for a bendable and stretchable proximity, touch and force sensor technology called plyon®, which turns virtually any surface into a smart surface. The sensors stand out for their flexibility, robustness and high signal integrity when bent or used on curved surfaces: plyon® sensors have an overall thickness of only 0.5 to 0.7mm and can achieve a bending radius as small as 1.0cm while maintaining their full measurement range. The sensors are extremely durable and resistant to static loads. By utilizing tacterion’s proprietary drift compensation technology, they provide a nearly drift-free readout over time, allowing them to be used in demanding industrial environments. TE will utilize the flexible plyon® sensor technology to add smartness to their products like the TE Intercontec connectors: These connectors are used in servo motors, robots, and

Siemens presents €2 billion investment strategy to boost future growth, innovation and resilience

Ramp up of global investment in new high-tech factories, innovation labs and education centers to expand leadership in digitalization, automation and sustainability

Total investments of €2 billion mainly in manufacturing capacity expansion to be disclosed this year Following investments in Germany and in

New-Tech Magazine Europe l 13

Latest News the U.S., Siemens expands its production network and R&D capacities in Asia

New and additional capacities in Southeast Asia To meet growing demand in Southeast Asia, Siemens today announced an entirely new high-tech factory in Singapore, which will be developed using Siemens’ own leading digital twin and innovative, intelligent hardware technologies. Investment in the factory will be around €200 million. The plant

New high-tech factory in Singapore announced to serve growing markets in Southeast Asia Expansion of digital factory in Chengdu to boost further growth in China

Credit: Siemens

Additional investments in Europe and U.S. to be announced

will set a new standard for connectivity to showcase the possibilities of digitalization, as well as incorporating highly automated manufacturing processes. The investment will create over 400 jobs. All-regions strategy with wave of global investments As part of its investment strategy and fast-growing business in China, Siemens will also expand its digital factory in Chengdu to serve the local growth opportunities in China for China, investing €140 million (RMB 1.1bn) and creating 400 new jobs. Many of Siemens’ Chinese customers are early adopters of new technologies especially in digitalization and high-tech manufacturing. This is why Siemens also announced the investment in a new digital R&D Innovation Center in Shenzhen to speed up development of motion control systems with digitalization and power electronics technology. The Siemens Xcelerator open digital business platform was launched in China in November 2022. Series of announcements Earlier this year, Siemens committed to expand production in Trutnov, Czech Republic, to enhance capacity at its WEF Global Lighthouse Factory in Amberg, Germany. Moreover, Siemens invests €30 million to expand its switchgear plant in Frankfurt-Fechenheim, Germany, while Siemens Mobility recently announced spending $220 million to build a new rolling stock factory in Lexington, North Carolina, to meet growing demand for passenger trains in the United States. The plant will create more than 500 jobs by 2028. The planned €2 billion investments and expected increase of around €0.5 billion in research and development include Siemens Healthineers.

To boost future growth, drive innovation and increase resilience, Siemens today presented its investment strategy which includes €2 billion mainly for new manufacturing capacity as well as innovation labs, education centers and other own sites. Siemens today announced a new high tech factory in Singapore, to serve the booming Southeast Asia markets. “Our technologies address secular growth trends where our customers need our support to become more competitive, resilient and sustainable. Siemens is experiencing significantly above-market growth. Today we announce an investment strategy to boost future growth, drive innovation and increase resilience,” said Roland Busch, President and Chief Executive Officer of Siemens AG. “The investments underpin our strategy of combining the real and the digital worlds – as well as our focus on diversification and local-for-local business. We are clearly doubling down on our strong global presence to support growth in the most relevant markets in the world.” In addition, there is an expected increase of around €0.5 billion in research and development (R&D), such as artificial intelligence and the industrial metaverse, in fiscal year 2023 versus prior year. This R&D is focused on strengthening Siemens’ leading position in core technologies including simulation, digital twins, artificial intelligence or power electronics, as well as supporting the development of the Siemens Xcelerator open digital business platform. The company recently announced a partnership with Microsoft to speed up code generation for industry automation by using ChatGPT. With NVIDIA, Siemens is working to build the industrial metaverse to improve design, planning, production and operation of factories and infrastructures.

14 l New-Tech Magazine Europe

Latest News

Würth Elektronik presents IoT concept at the Greentech Festival

Control plant growth from the cloud At the Greentech Festival in Berlin, Würth Elektronik demonstrated how wireless connectivity with an IoT networked prototype of a digital greenhouse, can contribute to feeding a rapidly growing world population. In the innovative cultivation system, lighting,

must arrive just as reliably at the actuators. Then, irrigation pumps can be started selectively or the color temperature of the LED lighting can be adjusted so that the plant can be provided with the optimum light recipe in every growth phase.” Connected Vertical Farming with LED

Credit: Wurth Elektronik

irrigation, fertilization and air conditioning can be monitored, controlled and dynamically optimized remotely and automatically for maximum crop yields and the best food quality. The world’s population is growing inexorably, while available resources are becoming ever scarcer. Here, vertical farming with LEDs in multi-story high-tech greenhouses offers promising solutions to the pressing issues of global food security. In this pioneering farming method, plants are grown under artificial light and controlled conditions, and their growth and nutrient content are optimized. By using wireless connectivity technology, the efficiency, monitoring and control of these cultivation systems can be significantly improved. Real-time monitoring of parameters, such as temperature, air and soil moisture, light intensity, as well as CO2 content of the air, allows the environmental conditions to be precisely adapted to the needs of the plants. If abnormal values are measured or unexpected changes are detected, the digital greenhouse automatically sends notifications to the operators. This allows errors to be detected at an early stage, potential problems to be rectified quickly, and possible crop failures to be avoided. Efficient remote control and automation also enable optimal plant growth and maximize crop yields while reducing manual effort and conserving resources such as water and energy. “Smart farming, today, means above all: smooth digital IoT networking,” emphasizes Alexander Gerfer, CTO of Würth Elektronik eiSos, a manufacturer and supplier of electronic and electromechanical components. “Measurement data, for example on the PH value and salt content of the recycled irrigation water, the soil moisture or the CO2 content of the air, must be reliably transferred to the cloud so that they are available to the control system in real time. Its control commands

In the age of digitalization, electronics has a decisive influence on sustainability. Many future

forward products contain electronic and electromechanical components, printed circuit boards, intelligent power and control systems, services and solutions from Würth Elektronik. Greentech Festival visitors were able to see what this all looks like in practice at the joint stand of Berlin Partner. There, Würth Elektronik presented the IoT-networked Connected Vertical Farm prototype, an innovative horticulture rack that impressively integrates plant-optimized LED lighting as well as real-time monitoring and remote control of sensors and actuators via wireless connectivity. “For both wireless connectivity and energy-efficient lighting in vertical farming with LED, Würth Elektronik offers components and sophisticated solutions, such as our Horticulture LED Panel, our Lighting Development Kit or the energy-saving Adrastea-I cellular module, which is used to bridge the longer distances in IoT applications,” explains Oliver Opitz, Vice President Wireless Connectivity & Sensors at Würth Elektronik eiSos GmbH & Co. KG. “Würth Elektronik makes innovations possible – quickly and easily,” promises Alexander Gerfer. “Through quality, long term availability and intensive customer support, we develop sustainable, resource and energy-saving solutions together with our customers and suppliers. In doing so, we see ourselves by no means only as a supplier, but also as a technology enabler. We support developers with free component samples and know-how transfer, and this also and especially applies to innovative startups. We also work closely with universities and colleges, promoting our own developments as well as the customers and employees of tomorrow. What opportunities there are, what we offer and what we do, is what our team talked about at the booth with many interested people.”

New-Tech Magazine Europe l 15

Challenges and innovations in patterning

IMEC

In this interview, Steven Scheer, senior vice president of Advanced Patterning, Process and Materials at imec, highlights challenges and innovations that will mark the field of patterning in the short and longer term. He explains how these are driven by the advent of High NA extreme ultraviolet lithography (EUVL), the rise of new memory and logic device concepts, and the need to reduce the environmental impact of IC manufacturing. How do you see the field of lithographic patterning evolving over the next two years? Steven Scheer: “Extreme ultraviolet lithography (EUVL) entered high volume production in 2019 for advanced logic foundries; and dynamic random-access memory (DRAM) companies are increasingly

What do you see as the key priorities in the introduction of High NA? “Obviously, the first priority is the availability of the High NA tool. ASML and Zeiss are making phenomenal progress to integrate all modules and optical components. And although a lot of the groundbreaking solutions on process related aspects have been developed for the introduction of low NA EUV, further evolutions will be needed to effectively introduce High NA EUV. In addition to the High NA tool, EUV photoresist development remains one of imec’s first priorities with our ecosystem partners. The advent of High NA EUVL will further increase resolution and reduce feature sizes, at a reduced depth-of-focus. This of course leads to film thickness downscaling, which requires implementation of new resists and underlayers to optimize EUV absorption and pattern transfer during etch.

interested in adopting EUVL as well. This could only happen thanks to the phenomenal dedication and commitment of ASML, who pushed the limits of technology far beyond what many people believed possible. The next evolution is the introduction of High NA (0.55NA) EUVL to enable half-pitch imaging down to 8nm. The next evolution is the introduction of High NA (0.55NA) EUVL to enable half-pitch imaging down to 8nm. To support the introduction of High NA EUVL, imec and ASML are setting up a High NA EUV Lab to serve the early development needs of the High NA chip manufacturers. At the same time, we are working with the broader patterning equipment and materials supplier ecosystem to enable access to the High NA Lab and prepare EUV resist material, underlayer, dry etch, photomask, resolution enhancement techniques (RET) and metrology technologies.”

16 l New-Tech Magazine Europe

ENABLING THE AGE OF INTELLIGENT MACHINES

Alif Semiconductor™ is revolutionizing the way developers create secure connected AI-enabled embedded solutions. Our processors scale seamlessly from a single real time Arm Cortex-M55 MCU to multiple MCUs plus multiple Cortex-A32 MPUs capable of running high-level operating systems, giving you the freedom to reuse the same software across the entire spectrum of your products. All products also include hardware AI Acceleration with one or more dedicated Ethos™-U55 Neural Processing Units.

Cortex-M55 Most AI-capable Cortex-M processor

Ethos-U55 First microNPU for Cortex-M

Up to 480x ML performance uplift*

+

=

Versatile ML performance: Up to 15x ML uplift*

Dedicated ML performance: Additional 32x ML uplift**

Performance

Configurable 32-256 multiply-accumulate (MAC) engine

+

Arm Custom Instructions and Configuration options

Optimization

SCALABLE PERFORMANCE - ENSEMBLE TM FAMILY

E5 Triple-core Fusion Processor

E7 Quad-core Fusion Processor

E1 Single-core MCU Cortex-M55 160 MHz Option Ethos-U55 128 MAC/c

E3 Dual-core MCU

Processing Combinations

Real-Time MCU Core

Cortex-M55 160 MHz Option Ethos-U55 128 MAC/c Sniff Environment

Cortex-M55 400 MHz Option Ethos-U55 256 MAC/c

Cortex-M55 160 MHz

Cortex-M55 400 MHz

Cortex-M55 160 MHz

Cortex-M55 400 MHz

microNPU AI/ML Accelerator

Ethos-U55 128 MAC/c

Ethos-U55 256 MAC/c

Ethos-U55 128 MAC/c

Ethos-U55 256 MAC/c

Application MPU Core

Wake and Process

Cortex-A32 800 MHz

Cortex-A32 800 MHz

Cortex-A32 800 MHz

INDUSTRY'S FIRST Cortex-M55 & Ethos-U55

Amir Sherman Alif Semi Local Contact | 052-2240811 | amir.s@sherman-bizdev.com amir@edgeimpulse.com

EUV photoresist development remains one of imec’s first priorities with our ecosystem partners. Additionally, we need to drive continuous improvement in stochastic roughness and, in the extreme case, even failures in EUV patterned resists – a phenomenon we discovered a few years ago. Historically, the photoresist patterning performance is expressed through the resolution, line-edge roughness (LER) or local-CD-uniformity (LCDU), and sensitivity – otherwise known as the RLS parameters. Today, given the importance attributed to stochastics, patterning performance is assessed already at the early-stage development with a fourth parameter (failure) which reflects the process window dimension limited by the stochastics. We believe the solutions exist to mitigate the stochastics failures induced by the photoresist systems and enlarge the process window, while lowering the dose, and intend to work with our partners to demonstrate these new technologies in the High NA Lab. The reduction of both feature size and resist thickness will impact the metrology as well. Beside printing performances, the drastic dimension shrink has the potential to negatively impact accuracy and precision and therefore metrology and inspection performances.” How is imec contributing to overcoming the challenges related to EUV photoresists? “To address the concerns coming from the chemical stochastics (i.e., stochastics other than shot noise) induced by conventional multi-component blended photoresist systems, novel materials are being developed. Examples are metal containing resists or single component resists. Imec continues to enable material suppliers to develop new concepts and assess critical issues such as contamination risks and process integration challenges. Novel High NA EUV resist systems

Figure 1: 24nm pitch lines and spaces: patterning development for metal oxide resist (MOR) and chemically amplified resist (CAR). MOR is showing lower dose, better LER and defectivity, despite the low thickness. Credit: IMEC

cannot be developed in isolated silos: co-optimization with engineered underlayers, novel hard-masks and highly selective etching processes is required to get the best performance. To address this challenge imec has recently developed a new toolbox to match the resist and underlayers properties. By doing material screening, surface energy matching studies, material physical characterization and interface engineering, spin-on or deposited underlayer films can be developed together with the photoresist to enable EUV patterning scaling with optimized LER, sensitivity, and defectivity. We have set up a patterning materials

characterization infrastructure we call the Attolab as a toolbox to get further insights into the behavior of both the resists and underlayers under EUV exposure. Furthermore, to accelerate materials development, we have set up a patterning materials characterization infrastructure we call the Attolab as a toolbox to get further insights into the behavior of both the resists and underlayers under EUV exposure. Today the absorption coefficient and layer-resolved structural properties of thin films and stacks can be studied with radiometry and reflectometry, techniques made available for partners in the Attolab.”

18 l New-Tech Magazine Europe

Optimize AI for the edge

Edge Impulse is the edge AI platform for enterprise teams building innovative products. Optimize your models and deploy to any edge device with ease. Accelerate your product development and minimize risks with a platform built to handle the challenges of working with real-world sensor data.

Some of the Semiconductors & IP’s we support

Amir Sherman | 052-2240811 | amir@edgeimpulse.com | www.edgeimpulse.com

What other avenues is imec exploring to support next lithography nodes? “There are several new evolutions ongoing in photomask development. To address the requirement of lowering the EUV exposure dose, masks with low-n absorber are being heavily investigated because they create aerial intensity profiles with high contrast (or NILS) at low exposure dose. At imec, we are also concerned about wafer stochastics and mask 3D effects (i.e., distortions of the aerial image related to the mask 3D topography). Stochastic failures at wafer level have many sources of which mask variability is one. To address the issue, we study which types of mask variability (incl. different roughness’s) are more prone to increased stochastics at wafer level, with the aim of proposing updated mask and blank specs. Also, High NA EUVL scanners will use anamorphic lenses, which provide unequal magnification in the x and y directions. This anamorphicity implies field stitching on wafer level to achieve the same wafer field size as other, conventional optical lithography. Wafer field stitching puts more importance at mask level to the quality of the mask field edge and possible mitigation schemes. Due to the increased importance of a deep understanding of the mask interactions with EUV illumination, at imec, we bring together the full mask R&D ecosystem. Together with our mask and blank suppliers, we support the industrialization of mask innovations (like novel absorbers) and explore mask complexity (like variability or stitching), both in the imec-ASML High NA EUV Lab and through modelling. Due to the increased importance of a deep understanding of the mask interactions with EUV illumination, at imec, we bring together the full mask R&D ecosystem. None of these issues are fundamental showstoppers for the introduction of

cell. When scaling the pitch to increase density, the lateral critical dimension (CD) of the capacitor will continue to shrink and the capacitor needs to be made increasingly tall to keep the capacitance constant. That will lead not only to manufacturing problems and yield loss, but we also expect 2D DRAM to hit fundamental material limits. To overcome these issues, various 3D DRAM flows are being considered and key challenges are being addressed at module level. We will likely see the introduction of new materials such as semiconductor oxides, complemented with the use of several high-aspect ratio etching and lateral recess steps, which are challenging in many ways. Next to that, filling of vertical holes and lateral cavities with liners, dielectrics, and metals are expected to be at least as challenging as today encountered in 3D-NAND-Flash technology.” Moving on to another topic, as your team conducts all process and materials related research at imec: how does imec contribute to a more sustainable manufacturing? “Today, IC manufacturing is estimated to account for about 0.1 percent of global emissions. However, due to the growing complexity of advanced technology nodes, CO2 emissions associated with manufacturing logic technologies are expected to double in the next 10 years. At the same time, the total volume of wafers produced is projected to grow by ~eight percent annually. If we do nothing, emissions associated with IC manufacturing will quadruple in the next decade. According to the Paris agreement, all industry sectors should cut their emissions in half every decade. In other words, in the “do-nothing” scenario, our industry will be off target by a factor eight. That’s why sustainability is a key pillar of imec’s research. We launched our sustainable semiconductor technologies and system (SSTS) program, gathering

High NA EUVL. However, for a smooth, timely and cost-effective introduction of High NA EUV with maximum performance, it is of key importance to address these challenges proactively and to offer to the key players of the ecosystem an effective collaboration platform. The primary motivation of imec and ASML setting up this High NA EUV lab, built around the first High NA scanner, is to facilitate the fastest possible industry introduction and ramp up of High NA EUV lithography.” What other developments will impact the field of patterning in the next two to five years? “In addition to innovations in EUVL, unique patterning opportunities emerge from the rise of new device concepts for both logic and memory that increasingly make use of the third dimension. Complementary FET (CFET) is the future device architecture beyond gate all-around (GAA) nanosheets, exploiting the concept of stacking one FET channel on top of another FET device. The device fabrication requires high-aspect-ratio patterning steps to realize the active part, the gate, source/drain recess, as well as the middle-of-line M0A contact formation. Besides, high amounts of material recess such as metal or dielectric will be imperative. Innovations including bottom-up deposition or area selective deposition (ASD) could play an important role in reducing the process complexity for CFET. Next, to allow CFET-based standard cell scaling from 5-tracks to 4-tracks, the CFET device will likely be integrated with backside power delivery. This new routing scheme will require high-aspect-ratio via opening and self-aligned patterning with good selectivity to the gate spacer. Unique patterning opportunities emerge from the rise of new device concepts for both logic and memory that increasingly make use of the third dimension. In the memory space, DRAM currently relies on a narrow, tall capacitor as a bit

20 l New-Tech Magazine Europe

the supply chain to jointly target net zero emissions for chip manufacturing. To quantify the environmental impact of a generic high-volume semiconductor fab, we are building a virtual fab, called imec.netzero. The developed models are continuously benchmarked and validated through partnerships with equipment and material suppliers. At the upcoming SPIE Advanced Lithography and Patterning conference, we will present a quantitative assessment of the impact of patterning on carbon emission during advanced IC manufacturing. High-impact areas have been identified and targeted for solutions in our actual fab. Examples include reduced use of fluorinated etch gases, reduced water use, recycling of rare materials, recovery of hydrogen, and lower dose lithography processes. We will present a quantitative assessment of the impact of patterning

on carbon emission during advanced IC manufacturing. Addressing environmental impact while developing future technologies may seem like a difficult and daunting task. It is. But we can do it. Our industry is known for its creativity and innovation, and we have now added one more development imperative: reduced environmental impact.” About Steven Scheer Steven Scheer was appointed as senior vice president of Advanced Patterning, Process and Materials (APPM) at imec in 2022, after joining imec as the vice president of APPM in 2019. His responsibilities include patterning, unit process and new materials development for logic, memory, photonics, and 3D integration. Prior to that, he was an account technology director with Tokyo Electron Ltd. (TEL), responsible for customers in the Portland OR area. He

worked at TEL for 13 years where he was responsible for R&D in patterning and cleans, including management roles in the US as well as at TEL’s factory in Kumamoto Japan and with the corporate R&D organization in Tokyo. He began his research career at IBM in Fishkill NY, working on 90 and 65 nm patterning development. He holds a Ph.D. in Chemical Engineering from the University of Texas at Austin.

Steven Scheer imec

Read To Lead

www.new-techeurope.com

New-Tech Magazine Europe l 21

Delivering higher power density and low noise for New Space applications

Ken Coffman, Sr. Field Applications Engineer and Salah Ben Doua, Principal Field Applications Engineer

To reduce expensive communication traffic between satellites and the Earth, increased processing power is being hosted on satellite platforms. To meet the demands of additional onboard computation, signal- and data processing hardware, system power and point-of-load (PoL) requirements must increase. Because hard switched converters have drawbacks in size, efficiency and electromagnetic interference, system engineers and power supply designers are driven to consider more advanced power supply topologies. Due to the physical size of modern ASICs, FPGAs, CPUs and GPUs—and their necessary cooling solutions— circuit board real estate around these big chips is precious. These chips require progressively lower voltages with increasing currents—hence the need for an optimized power delivery network (PDN). Therefore, it is helpful to divide

the PDN task into two sections: a regulation section that can be placed in a convenient location, and a power delivery section that benefits from being placed as close to the load as possible. This is a fundamental principle of the Vicor Factorized Power Architecture (FPA™). Soft-switching topologies have distinct advantages over hard-switched converters by enabling high fundamental conversion frequencies with low harmonic noise. Compared to a hard-switched, multi phase topology… 1. A zero-voltage switching (ZVS) and zero-current switching (ZCS) topology, running at the highest practical frequency, is more space-efficient and dissipates less wasted power. 2. A zero-voltage switching (ZVS) and zero-current switching (ZCS) topology does not have the high-frequency, harmonic-series noise profile character. 3. With a >1MHz operating frequency,

Vicor converters do not have troublesome 100-500kHz frequency content. 4. With low harmonic content and high fundamental conversion frequency, the noise-filter implementation is compact. Vicor power modules operating at >1MHz help engineers create low common- and differential-mode (CM and DM) noise designs, particularly when component arrangements and device interconnects are properly considered. As always, input and output filters are required and must be designed and placed properly, but the inherent nature of Vicor converters make this task easier. Factorized Power: Delivering high current and low voltage efficiently Top challenges for satellite power system designers: 1. Higher and higher load current requirements, from 10s of amps to 100s of amps. 2. Loads requiring faster transient

22 l New-Tech Magazine Europe

response with tighter tolerance windows. 3. Requirements for lower PDN losses and impedances. 4. Expanding use of higher-voltage busses to reduce conductor sizes. In addition to the advancing electrical requirements in space, radiation TID (total ionizing dose) and SEE (single-event effects) requirements are added. In some cases, the New Space philosophy of smaller, faster and cheaper space platforms and launches led to the adoption of rad-tolerant design methods as a cost-reduced substitute for radiation-hardening. This new approach is based on determining an acceptable level of performance and reliability based upon the specific mission, then developing boards and electronics based on size, weight and power consumption (SWaP) tradeoffs, as well as cost-effectiveness. This design strategy suits LEO and MEO satellite orbits inside the Van Allen radiation belt. Optimizing for a high-current, high density PDN calls for a new approach and a Factorized Power Architecture should be considered. The Vicor New Space FPA divides the PDN into three stages. Fixed ratio, non-regulated isolated DC-DC bus converters (BCM ® ), isolation and voltage transformation module (VTM™) convert voltages from one level to another. Pre regulation module (PRM™) regulators provide voltage regulation and control the converter output voltage to a target value when the input voltage and output load varies. In the current generation of Vicor New Space converters, an unregulated first stage BCM provides isolation from the spacecraft bus, a supply voltage for the downstream converters and voltage transformation to create an intermediate bus voltage compatible with the downstream converters. The current BCM design offers a 3:1 transformation ratio to convert 100VDC

Figure 1: Diagram showing the more efficient and flexible Factorized Power Architecture (FPA) using Vicor PRM and VTM module products Credit : VICOR

to 33VDC, but other transform ratios are being studied and considered to support other bus voltages. The second-stage PRM performs accurate output voltage regulation with a trimmable output voltage range of 13.4V– 35V. The third stage VTM is the power delivery stage. It transforms the higher voltage from the PRM to the voltage required by the load. Currently, there are two transformation ratios: 8:1 and 32:1. VTMs are called current multipliers because the input to output current transformation is the inverse of the voltage transformation ratio. As an example, 6A injected into the 8:1 VTM results in a 48A output current. Designing a low-noise Factorized Power Architecture for New Space BCMs, PRMs and VTMs are the components that make FPA possible. The current generation of radiation tolerant New Space BCMs, using

patented Vicor Sine Amplitude Conversion (SAC™) topology, has an impressive peak efficiency of 96.9%. Vicor PRMs use a patented ZVS buck boost regulator control architecture to give high-efficiency step-up and step-down voltage regulation and soft start. Maximum efficiency is achieved when VIN ≈ VOUT, with 97% peak being achieved with the latest PRMs. VTM current multipliers are high efficiency voltage transformation modules using a proprietary ZCS/ ZVS Sine Amplitude Converters which transforms a nearly pure sinusoidal waveform with high spectral purity and common-mode symmetry. These characteristics mean it does not generate the harmonic content of a typical hard-switched PWM type converter and generates minimal noise. The control architecture locks the operating frequency to the powertrain resonant frequency, enabling up to 97% efficiency and minimizing output impedance by effectively canceling reactive components. This very low, non

New-Tech Magazine Europe l 23

Made with FlippingBook Ebook Creator